Background Image
Table of Contents Table of Contents
Previous Page  20 / 102 Next Page
Information
Show Menu
Previous Page 20 / 102 Next Page
Page Background

20

- ELETTRONICA OGGI 447 - LUGLIO/AGOSTO 2015

TECH INSIGHT

FPGA

L

e attuali sfide fondamentali da vincere, per chi sviluppa si-

stemi elettronici, sono realizzare dispositivi con prestazioni

ed efficienza sempre più elevate, ma anche aumentare il livello

di integrazione del chip, nonché il suo grado di sicurezza contro

i cyber-attacchi. Tre territori tecnologici in cui

Altera

ritiene di

aver fatto centro, dopo l’annuncio a giugno dell’introduzione sul

mercato della nuova serie di dispositivi FPGA e SoC (system-on-

chip) Stratix 10. In particolare, nel settore degli FPGA di fascia

’high-end’ – ha sottolineato Chris Balough, senior director mar-

keting embedded processing di Altera, e un passato professio-

nale, con varie posizioni di marketing e vendite, in Xilinx e Texas

Instruments – la competizione si gioca sulla capacità di integra-

re molte, differenti tecnologie. “Credo che in questo ambito non

vi siano mai stati degli FPGA che hanno fatto così tanti passi in

avanti, da una generazione a quella successiva, come quelli della

famiglia Stratix 10. Questi nuovi device si distinguono davvero

nel mercato, e ciò grazie alla combinazione delle tecnologie di

Altera, ma anche di quelle che abbiamo mutuato da Intel”. Una

combinazione che ha portato a creare una gamma di dispositivi

molto potenti. Gli FPGA della serie Stratix 10, ha precisato subito

Balough, si caratterizzano per una struttura del core monolitica,

fondata quindi su un singolo die. La elevata densità della strut-

tura arriva a contenere fino a 5,5 milioni di elementi logici (LE). Il

processo di fabbricazione Tri-Gate a 14 nm di Intel e le innovazi-

oni apportate all’architettura HyperFlex hanno poi consentito il

raddoppio delle prestazioni del core e un significativo incremen-

to dell’efficienza energetica, rispetto agli FPGA di fascia alta della

precedente generazione (Stratix V).

HyperFlex: l’approccio ’registers everywhere’

Altera definisce l’architettura HyperFlex la più grande innovazi-

one architetturale degli FPGA in oltre un decennio. Cosa c’è di

innovativo in questa architettura? Con HyperFlex, tutti i segmenti

di routing formati dalle interconnessioni del core dispongono

di registri, in modo da consentire agli FPGA e SoC della gam-

ma Stratix 10 di beneficiare di tecniche di innalzamento delle

prestazioni, come il ’re-timing’ dei registri, il ’pipelining’, e altre

metodologie di ottimizzazione della progettazione del chip che,

specifica Altera, con FPGA basati su architetture convenzionali

non sarebbe conveniente applicare. In sostanza, questa partico-

lare architettura permette agli sviluppatori di sistema di elimin-

are i percorsi critici, quindi i ritardi nell’instradamento dei dati,

e di velocizzare la progettazione. Il fatto poi che, in abbinamento

con la tecnologia Tri-Gate a 14 nm di Intel, HyperFlex consenta

di radddoppiare le prestazioni del core si riflette in notevoli mi-

glioramenti dell’utilizzazione del dispositivo, come la possibilità

di ridurre la necessità di instradamento dei dati su percorsi non

ottimali, o di altri metodi di progettazione richiesti dalle architet-

Stratix 10: il mix “perfetto”

di tecnologie

Giorgio Fusari

Le innovazioni della nuova linea di FPGA di

Altera spaziano a tutto campo: dal raddoppio

delle performance, ai package SiP 3D, alle

sofisticate funzionalità di protezione dei

dispositivi. Obiettivo soddisfare le prossime

necessità applicative in termini di potenza

elaborativa, flessibilità e sicurezza

Fig. 1 – I dispositivi FPGA e SoC della serie Stratix 10