Background Image
Table of Contents Table of Contents
Previous Page  71 / 102 Next Page
Information
Show Menu
Previous Page 71 / 102 Next Page
Page Background

EDA/SW/T&M

SoC

71

- ELETTRONICA OGGI 448 - settembre 2015

dinamici e per il contenimento del leakage devono essere

totalmente riesaminati. A causa del rapporto mutevole delle

componenti dinamiche e di leakage, ciò che in un nodo offre

la massima efficacia, in un altro nodo potrebbe non essere

altrettanto efficace.

Una nuova generazione di soluzioni

di implementazione digitale

Il nuovo sistema di implementazione Cadence Innovus sod-

disfa le esigenze dei progettisti offrendo un miglioramento

tra il 10 e il 20% degli elementi PPA e un incremento di un or-

dine di grandezza in termini di TAT. Prima soluzione a eleva-

to parallelismo disponibile nel settore, Innovus è in grado di

gestire efficacemente blocchi da 10 milioni di istanze o più.

Il sistema di implementazione Innovus garantisce questi

risultati attraverso diverse funzionalità chiave, come ad

esempio:

Un’architettura a elevato parallelismo in grado di gestire

disegni enormi sfruttando workstation multi-core e multi-

threading, così come soluzioni di elaborazione distribuita su

reti di computer

Una nuova tecnologia di

placement basata sul riso-

lutore GigaPlace. Essendo

“slack-driven” e adottando

un approccio che tiene con-

to di topologia, accesso ai

pin e colori, GigaPlace per-

mette di ottimizzare il posi-

zionamento della pipeline, la

lunghezza dei conduttori e

gli elementi PPA

Un engine avanzato di ot-

timizzazione multi-threaded

layer-aware e pilotato dal

timing e consumi che per-

mette di ridurre i consumi

dinamici e il leakage

Un motore unico di ottimizzazione contemporanea di

clock e datapath che migliora la variabilità cross-corner e

aumenta le prestazioni a fronte di consumi ridotti

Un routing slack-driven di nuova generazione con ottimiz-

zazione del timing, che tiene conto dei percorsi e affronta

preliminarmente gli aspetti di integrità del segnale, miglio-

rando la correlazione post-route

Una tecnologia multi-obiettivo in un flusso completo che

permette di ottimizzare contemporaneamente gli aspetti

elettrici e fisici.

Una nuova tecnica slack-driven per il placement

Il nuovo motore GigaPlace modifica il modo in cui viene ef-

fettuato il piazzamento e migliora il PPA. Tradizionalmente, il

placement è “timing aware” e “leggermente” integrato con gli

altri engine del sistema di implementazione, come ad esem-

pio quelli di analisi e di ottimizzazione del timing. Con l’engi-

ne GigaPlace, il piazzamento è slack-driven ed è strettamen-

te integrato; in altre parole, l’engine aiuta a piazzare le celle

secondo un approccio timing-driven sfruttando il profilo di

slack dei percorsi ed eseguendo le regolazioni di placement

basandosi su questi slack di timing. (Per ulteriori informa-

zioni, leggere l’articolo al link

http://www.cadence.com/rl/ Resources/white_papers/ARM_PPA_wp.pdf

che illustra come il motore GigaPlace abbia permesso di ri-

durre la lunghezza dei fili in un progetto ARM Cortex-M7).

L’engine GigaPlace modella accuratamente i vincoli elettrici

e i vincoli fisici (planimetria, lunghezza del conduttore ba-

sata sulla topologia del percorso, congestione). Esso integra

anche il modello matematico dell’engine di ottimizzazione

timing e power-driven di Cadence, che è integrato anche

nel sistema di implementazione Innovus. L’engine consente

l’ottimizzazione contemporanea e convergente dei parametri

elettrici e fisici. Ancora più importante, l’intento del progetti-

sta può essere estratto automaticamente dai vincoli elettrici,

il che a sua volta contribuisce a migliorare l’ottimizzazione

delle metriche fisiche. Una strategia di ottimizzazione globa-

le e un nuovo risolutore numerico permettono di evitare la

Fig. 1 – Il sistema di implementazione Innovus rappresenta la prima soluzione a elevato parallelismo

del settore