Elettronica_Oggi_430 - page 31

31
- ELETTRONICA OGGI 430 - SETTEMBRE 2013
TECH INSIGHT
ULTRASCALE
questo miglioramento. Xilinx a
questo riguardo dichiara che
questa suite è in grado di pro-
durre design che sono del 25%
più veloci rispetto quelli dei
competitor in ogni condizioni
di utilizzo.
L’elaborazione
Sempre in termini di presta-
zioni, un’altra caratteristica
dell’architettura
UltraScale
risiede nella capacità di rispon-
dere alle sempre maggiori esi-
genze di performance da parte
del mercato, ma senza dimenti-
care l’aspetto dei costi.
Tra i vari componenti dell’ar-
chitettura vanno annovera-
ti nuovi multiplier 27x18 bit e
i dual adder, elementi che si
sommano all’ottimizzazione dei
critical path e che permettono
di ottenere performance par-
ticolarmente elevate per l’ela-
borazione dei fixed point e dei
floating point IEEE 754. Questo
aumento dell’efficienza dell’ela-
borazione dei floating point è stimata in un fattore 1,5x e
l’aumento dell’utilizzazione delle risorse a disposizione
per i floating point in doppia precisione, unito all’au-
mento delle risorse DSP disponibili permette a questa
architettura di rispondere adeguatamente alle esigenze
di performance delle applicazioni di nuova generazione.
Per quanto riguarda il collo di bottiglia dei critical path,
solitamente associato all’elaborazione dei pacchetti e ai
controlli di correttezza dei dati, l’architettura UltraScale
utilizza un sottosistema DSP con l’integrazione di inter-
facce hardened di tipo 100 GBE MAC e Interlaken.
Queste funzionalità, insieme allo SmartCore Packet
Processing e Traffic Management IP
permette l’elaborazione dei pacchet-
ti a velocità di diverse centinaia di
gigabit.
L’I/O e la larghezza
di banda per la memoria
Una parte importante dell’architettura
UltraScale riguarda l’I/O e le rela-
tive performance, anche in termini
di consumi. I transceiver SerDes ad
alta velocità, come quelli utilizzati
dai Virtex con architettura UltraScale
sono in grado di supportare una lar-
ghezza di banda seriale del sistema
oltre i 5 Tbps. A queste prestazioni si
aggiunge una notevole flessibilità per
alcune funzioni rispetto alla preceden-
Fig. 1 - Il posizionamento dell’architettura UltraScale di Xilinx dal punto di vista applicativo
Fig. 2 - Le funzioni di routing dell’architettura UltraScale sono ottimizzate per migliorare le
performance e rispondere alle esigenze di data flow delle più recenti applicazioni
1...,21,22,23,24,25,26,27,28,29,30 32,33,34,35,36,37,38,39,40,41,...102
Powered by FlippingBook