Elettronica_Oggi_430 - page 30

30
- ELETTRONICA OGGI 430 - SETTEMBRE 2013
TECH INSIGHT
ULTRASCALE
L’
architettura UltraScale di Xilinx è tra le novità più
interessanti fra quelle introdotte recentemente.
Questa architettura in pratica applica delle tecniche
tipiche degli ASIC a architetture completamente pro-
grammabili per superare alcuni limiti come per esempio
quelli relativi a scalabilità, throughput complessivo,
latenza e interconnessioni.
I miglioramenti introdotti dall’architettura UltraScale
riguardano diverse aree che vanno dal routing alla
distribuzione del clock, dall’ottimizzazione dei critical
path all’aumento dei blocchi logici configurabili. Questi
e altri miglioramenti permettono di aumentare la quan-
tità di dati elaborabili e la larghezza di banda dell’I/O.
Dal punto di vista applicativo, l’architettura UltraScale
permette di realizzare sistemi ad alte prestazioni di
nuova generazione come per esempio quelli per reti
OTN 400G con elaborazione intelligente dei pacchetti e
la gestione del traffico, applicazioni video come quelle
per display 4K2K e 8K, sistemi wireless mixed mode
4x4 LTE e radio WCDMA con smart beamforming, ma
anche sistemi di sorveglianza intelligenti (ISR), radar e
applicazioni a elevate prestazioni per l’elaborazione nei
data center.
La distribuzione del clock e il routing
Uno dei problemi tipici nei chip di dimensioni maggiori
è legato allo skew del clock che condiziona le perfor-
mance ottenibili. Per risolvere questo tipo di problemi
Xilinx ha introdotto nell’architettura UltraScale una
tecnica che definisce ASIC-like clocking per la distribu-
zione su più aree del segnale di clock. In pratica collo-
cando il clock -driven node nel centro geometrico di un
blocco funzionale e utilizzando altri accorgimenti è pos-
sibile ridurre i problemi di clock skew di circa il 50%,
in base ai dati di Xilinx. Questo miglioramento permette
di ridurre sensibilmente un collo di bottiglia importante
per le prestazioni del sistema.
Un altro aspetto in grado di condizionare le prestazioni
è legato alle interconnessioni e al relativo routing. Il
miglioramento dell’efficienza del routing, ottenuto gra-
zie anche all’ottimizzazione con la Vivado Design Suite,
permette all’architettura UltraScale di superare uno
dei principali colli di bottiglia dal punto di vista delle
prestazioni.
L’architettura infatti prevede l’impiego di percorsi pre-
ferenziali che fanno passare i dati tra elementi logici
vicini (che non devono necessariamente essere anche
vicini fisicamente) che sono connessi fra loro. Questa
soluzione permette di eliminare i congestionamenti di
traffico con il risultato, secondo i dati di Xilinx, di poter
raggiungere un livello di utilizzazione del device fino
al 90% senza degradi nelle prestazioni e aumenti della
latenza del sistema. In pratica l’architettura UltraScale
permette di disaccoppiare le prestazioni dalla comples-
sità del design e dal tasso di utilizzazione del device.
La Vivado Design Suite contribuisce sensibilmente a
UltraScale: architettura programmabile
della prossima generazione
Francesco Ferrari
I punti chiave della nuova architettura
programmabile di Xilinx per FPGA,
SoC e IC 3D per la realizzazione dei
device sempre più performanti che sono
richiesti dalle più recenti applicazioni
1...,20,21,22,23,24,25,26,27,28,29 31,32,33,34,35,36,37,38,39,40,...102
Powered by FlippingBook