SoCs, come creare valore aggiunto nei progetti IoT e Industria 4.0

Pubblicato il 10 febbraio 2021

Per lo sviluppo di prodotti IoT, i SoC personalizzati aiutano a soddisfare i requisiti di una specifica applicazione o a differenziare un prodotto dalla concorrenza. Tra le opzioni di circuito disponibili per lo sviluppo dei prodotti IoT, i SoC personalizzati sono efficienti e convenienti. La loro flessibilità di progettazione aiuta a creare sistemi IoT affidabili e sicuri

 

Uno dei mercati più dinamici al momento è l’Internet of Things (IoT), dove più sensori e sistemi embedded sono collegati, spesso tramite reti di comunicazione wireless, per il controllo e monitoraggio dell’ambiente e dei sistemi elettronici. In ambito consumer, i dispositivi IoT possono essere utilizzati per collegare apparecchi o illuminazione per creare una smart home, connessa in rete. Quando si parla invece di Industria 4.0 o smart factory ci si riferisce a un ambiente industriale, dove le reti IoT possono monitorare le linee di produzione.

Nella realizzazione di prodotti per l’IoT o Industrial IoT (IIoT), gli sviluppatori utilizzano sempre più spesso SoC personalizzati che offrono funzionalità ottimizzate, basso consumo energetico ed elevata integrazione. Per lo sviluppo di questi System-on-a-Chip (SoC), spesso molto complessi, esistono numerosi fornitori di semiconduttori altamente specializzati che supportano il cliente durante ogni fase, dal progetto alla realizzazione.

Perché scegliere un SoC personalizzato?

Per lo sviluppo di prodotti IoT e IIoT, sono necessari circuiti altamente integrati a bassa potenza, a un costo ragionevole. Sebbene questi criteri siano in conflitto fra loro, possono essere soddisfatti in modo ottimale soltanto con soluzioni specifiche per il cliente.

Per ridurre i costi unitari di un prodotto IoT, i SoC personalizzati permettono la sostituzione di molti circuiti integrati standard con un unico SoC grazie all’elevata densità di integrazione. Come risultato, oltre all’abbassamento dei costi, si riducono anche le dimensioni generali dell’elettronica, cosa che a sua volta consente di realizzare soluzioni IoT più compatte. Tuttavia, il risparmio sul costo unitario deve essere misurato  rispetto ai costi di sviluppo di un SoC personalizzato. Per questo motivo, la riduzione dei costi per tali SoC è generalmente ottenuta tramite la realizzazione di dispositivi ad alto e medio volume e/o di lunga durata di commercializzazione.

Quando si tratta di connettività, i dispositivi IoT spesso devono supportare standard wireless, come ad esempio ZigBee o Bluetooth LE. È quindi vantaggioso che i SoC personalizzati possano integrare blocchi HF oltre ai circuiti digitali. Integrando ricevitori ed emettitori HF su un SoC, il consumo energetico e le dimensioni del prodotto IoT possono essere ridotti.

Oltre all’integrazione HF, i SoC personalizzati permettono anche l’integrazione di un front-end analogico ottimizzato per la specifica applicazione. Il front-end diventa così l’interfaccia per i sensori laddove è necessario generare o ricevere segnali analogici.

Spesso uno dei requisiti più importanti per un produttore è la protezione delle proprie IP. Se algoritmi e metodi proprietari vengono implementati su un SoC personalizzato, essi risulteranno protetti in una certa misura contro la contraffazione e il reverse engineering. Va considerato che sarebbe comunque possibile copiare un SoC personalizzato, sebbene risulti molto costoso e comporti una grande quantità di lavoro. Ad ogni modo, i SoC personalizzati garantiscono un certo grado di sicurezza contro la contraffazione.

Modelli di Engagement

Lo sviluppo di un SoC personalizzato è generalmente un processo molto complesso che richiede un alto grado di conoscenza specialistica maturata nel corso degli anni. È quindi una buona idea avviare una partnership con un fornitore di SoC personalizzati. Il fornitore potrà così rispondere in modo flessibile alle esigenze del cliente e offrire diversi possibili modelli di engagement, o forme di collaborazione. Questi modelli si basano sulle risorse di progettazione SoC personalizzate disponibili per il cliente.

In linea di massima, ci sono quattro possibili modelli di engagement per lo sviluppo di SoC personalizzati. Nel modello tradizionale, il cliente è responsabile della progettazione del SoC, mentre il produttore si occupa del layout, della produzione e dei test.

Una variante di quello tradizionale è il modello di tooling proprietario del cliente (anche COT, o foundry), in cui quest’ultimo provvede ad eseguire tutto il lavoro di sviluppo mentre il partner SoC è responsabile della produzione.

Nel platform model, il produttore di semiconduttori esegue parte dello sviluppo SoC (ad esempio il sottosistema CPU o il circuito analogico), mentre le parti standard, come le interfacce, sono concesse in licenza come proprietà intellettuale (IP). Il produttore di SoC integra poi i singoli blocchi del circuito.

Nel modello spec-in, il cliente fornisce una specifica e il fornitore SoC si occupa dell’intero processo di progettazione e di implementazione del chip.

Queste opzioni offrono ai clienti molte modalità per bilanciare la loro esperienza di progettazione interna, così come i loro investimenti in strumenti e altre risorse per adattare il loro sviluppo ASIC (Application Specific Integrated Circuit) al loro modello di business e al tempo di sviluppo disponibile.

Tecnologie dei semiconduttori

Per lo sviluppo dell’ASIC è disponibile una gamma di tecnologie di semiconduttori dove il CMOS (Complementary Metal-Oxide-Semiconductor) è quella più diffusa. Il CMOS risulta particolarmente adatto all’integrazione di circuiti digitali, ma possono essere integrate anche funzioni RF e analogiche.

I SoC che integrano principalmente circuiti HF/analogici e bassa funzionalità digitale sono spesso implementati in SiGe (silicio-germanio), che può impiegare sia CMOS che transistor bipolari. Il GaAS (arseniuro di gallio) è adatto per circuiti RF dove l’output è alto, ad esempio negli amplificatori di potenza (PA). Esistono anche tipologie miste e processi speciali, come l’HV-CMOS (CMOS ad alta tensione).

Nei dispositivi CMOS, la dimensione di processo (attualmente da 180 nm a 5 nm) determina la densità di integrazione, cioè il numero di porte logiche che possono essere alloggiate per unità di superficie del chip. Dimensioni più piccole offrono generalmente velocità di clock più elevate, il che porta ad una maggiore potenza di calcolo, ma anche a costi più elevati rispetto ai progetti basati su nodi di processo più vecchi (ossia dimensioni più grandi). Qualsiasi sviluppo SoC personalizzato è quindi un compromesso fra i costi di sviluppo risultanti, le prestazioni richieste e la densità di integrazione.  I costi NRE (non-recurring engineering) per lo sviluppo SoC cosi’ come i costi delle maschere aumenteranno man mano che su un SoC verranno integrati più potenza di calcolo e circuiti.

I SoC customizzati offrono dunque soluzioni ottimizzate in termini di prestazioni, funzionalità e consumo energetico, particolarmente importanti per i produttori e gli sviluppatori di prodotti IoT. Coinvolgere un fornitore di SoC personalizzati nel processo di definizione dei chip in fase iniziale può consentire a trovare la soluzione ottimale. Avvalendosi di un fornitore SoC e della competenza tecnica del suo team di progettazione, un’azienda potrà definire un chip utilizzando servizi come la progettazione, il layout, il package, il test e la produzione, in base alle esigenze del proprio modello di business.

 

Stephan Ahles Senior Marketing Engineer (Socionext Europe)



Contenuti correlati

  • La tecnologia indossabile e le sue applicazioni

    I dispositivi indossabili hanno contribuito a sviluppare enormemente l’industria dell’IoT e si prevede che l’industria stessa dei dispositivi indossabili raggiungerà nel mercato mondiale i 100 miliardi di dollari entro il 2027 Leggi l’articolo completo su EO 516

  • SoC wireless per dispositivi medicali connessi

    La famiglia di dispositivi wireless sicuri e a basso consumo di Silicon Labs, come quelli della serie BG27, grazie alle loro caratteristiche rappresentano la soluzione ideale per applicazioni IoMT (Internet of Medical Things) Leggi l’articolo completo su...

  • Apprendimento automatico alla periferia della rete: le considerazioni chiave per sviluppare un progetto sostenibile

    Numerosi sistemi embedded, in particolare quelli utilizzati nelle installazioni IoT (Internet of Things) ubicate alla periferia della rete (edge), integrano algoritmi di apprendimento automatico (ML – Machine Learning). Mark Patrick, responsabile del Technical Marketing per la regione...

  • Renesas Electronics
    La roadmap per SoC e MCU automotive di nuova generazione di Renesas

    Renesas Electronics  ha delineato i piani per SoC e microcontrollori (MCU) destinati a tutte le principali applicazioni automotive. L’azienda infatti ha fornito le anticipazioni sul suo SoC R-Car di quinta generazione per applicazioni ad alte prestazioni che...

  • Utensili cordless: tendenze e requisiti da considerare in fase di progettazione

    Gli utensili cordless sono molto diffusi,  perché ovviamente eliminano la presenza di fastidiosi cavi e la necessità di cercare prese. La tendenza è quindi verso gli utensili a batteria. Tuttavia, affinché questi apparecchi offrano anche le prestazioni desiderate,...

  • Architettura di un sistema IoT interoperabile basata sui componenti

    In questo articolo, viene descritta un’architettura IoT che utilizza l’approccio di progettazione basato su componenti “speciali” per creare e definire componenti di servizio, autonomi ma interoperabili, per i sistemi IoT. Inoltre, una funzione di feedback basata sui...

  • Viavi Solutions
    Una nuova soluzione Viavi per i test RedCap 5G

    Viavi Solutions ha lanciato un sistema di emulazione di dispositivi a capacità ridotta (RedCap) per il settore dei test di rete 5G, consentendo una reale convalida delle prestazioni per IoT e reti private basate su questa nuova...

  • Soluzioni per una città intelligente ecosostenibile

    Metà del mondo vive già nelle città e oltre 2 miliardi di persone si aggiungeranno alla popolazione urbana entro il 2025. Nelle città intelligenti di domani, infrastrutture e servizi devono essere più efficienti e rispettosi dell’ambiente e...

  • Silicon Labs
    Silicon Labs ha presentato il SoC dual band FG28 con AI

    Il nuovo SoC dual-band FG28 di Silicon Labs è stato progettato per reti e protocolli a lungo raggio come Amazon Sidewalk, Wi-SUN e altri protocolli proprietari. Si tratta di un componente che integra le interfacce radio sub-Gigahertz...

  • Proteggere l’IoT con i SoC e FPGA PolarFire

    La protezione dei dispositivi IoT non dovrebbe riguardare semplicemente la protezione da edge a cloud, ma dovrebbe anche riguardare la protezione dell’intera catena di approvvigionamento coinvolta nella realizzazione di questi prodotti Leggi l’articolo completo su EO 510

Scopri le novità scelte per te x