EO_498

TECH INSIGHT SERPENTONE ELETTRONICA OGGI 498 - NOVEMBRE/DICEMBRE 2021 20 Intel: dai nanometri agli angstrom Francesco Ferrari Intel ha recentemente introdotto una nuova struttura per la denominazione dei suoi nodi di processo per la realizzazione dei chip. In pratica spariscono i nanometri (nm) e arrivano gli angstrom (un nanometro equivale a 10 angstrom). La prima novità si chiama nodo Intel 7 e sarà usato per prodotti come i chip Alder Lake per client nel 2021 e Sapphire Rapids per i data center che dovrebbe essere in produzione nel primo trimestre del 2022. Questo nodo, in base ai dati di Intel, offre un aumento delle prestazioni per watt compreso tra il 10% e il 15% circa rispetto a quello Intel SuperFin a 10 nm, grazie anche alle ottimizzazioni introdotte per la realizzazione dei transistor FinFET. Intel 4, invece, sarà pronto per la produzione nella seconda metà del 2022 per i prodotti che saranno consegnati nel 2023, inclusi i chip Meteor Lake per i client e Granite Rapids per i data center. Con questo nodo si dovrebbe avere un aumento di circa il 20% delle prestazioni per watt. Il nodo Intel 3, secondo la roadmap, sarà utilizzato per i prodotti disponibili nella seconda metà del 2023 e offrirà ulteriori ottimizzazioni dei FinFET e un aumento delle prestazioni per watt di circa il 18% rispetto a Intel 4. La vera novità è costituita però dal nodo chiamato Intel 20A che inaugurerà, nel 2024, quella che Intel definisce “l’era angstrom” con due importanti innovazioni: RibbonFET e PowerVia. RibbonFET è l’implementazione di Intel di un transistor gate- all-around ed è importante perché di fatto sarà la prima nuova architettura di transistor dell’azienda di Santa Clara da quando sono stati presentati i FinFET, nell’ormai lontano 2011. La tecnologiaRibbonFET offre diverse novità, fra cui velocità di commutazione dei transistor più elevate ottenendo la stessa corrente di azionamento. PowerVia, invece, è la nuova implementazione di Intel per l’erogazione dell’alimentazione che utilizza il backside e ottimizza diversi aspetti, eliminando la necessità di instradamento dell’alimentazione sul lato anteriore del wafer. Per il 2025 e oltre, invece, Intel prevede, oltre a Intel 20A, anche Intel 18A, un nodo che è già in fase di sviluppo. Le innovazioni nel packaging 3D Il packaging è oramai diventato determinante e Intel ha annunciato ulteriori novità anche in questo settore. Intel precisa che Sapphire Rapids sarà il primo prodotto Intel Xeon per data center a essere spedito in grandi quantità con EMIB. La prossima generazione di EMIB passerà inoltre da un bump pitch di 55 micron a 45 micron. Le due novità però sono Foveros Omni e Foveros Direct. Foveros Omni è la prossima generazione della tecnologia Foveros e offrirà una elevata flessibilità grazie alla tecnologia di stacking 3D ad alte prestazioni per interconnessione die-to-die e design modulari. Dovrebbe essere pronta per la produzione in volume nel 2023. Foveros Direct, invece, permetterà la connessione diretta rame-rame per interconnessioni a bassa resistenza, riducendo il limite del confine fra wafer e package. Intel precisa che Foveros Direct è complementare a Foveros Omni e anche questa tecnologia dovrebbe essere pronta nel 2023. PowerVia è una nuova tecnologia di Intel per erogare l’alimentazione all’interno dei chip (Fonte: Intel) La timeline per i prossimi nodi di processo di Intel (Fonte: Intel)

RkJQdWJsaXNoZXIy Mzg4NjYz